본문 바로가기
728x90
반응형

VLSI15

[SVA] 1-2. Introduction [SVA] 1-2. Introduction SVA Example 이번에는 SystemVerilog Assertion을 사용한 예제를 확인해보자. 예제1 : grant 요청 후 4 clock 이내에 ack 수신 확인  1234567891011121314151617module grant_ack_check(   input logic clk,   input logic reset_n,   input logic grant,   input logic ack);   // 4 Clock 이내에 ack 신호가 들어와야 함을 검증하는 Assertion   property grant_to_ack_within_4_cycles;      @(posedge clk) disable iff (!reset_n)         grant.. 2024. 11. 14.
[SVA] 1-1. Introduction [SVA] 1. IntroductionSystemVerilog Assertion의 진화 과정    초기 SystemVerilog에는 시간적인(순차적인) 도메인을 검사하기 위한 방법이 제공되지 않았다. PSL 같은 외부 언어를 SystemVerilog와 연결하여 혼합된 언어 환경을 만들어야 했다. 이는 특정 EDA 벤더와 연결된 두 개의 시뮬레이터를 유지해야 했으므로 불편함이 있었다.이러한 문제를 해결하기 위해 SystemVerilog 표준 위원회는 언어에 고유한 하위 집합을 추가하여 이를 SystemVerilog Assertions(SVA)라고 명명했다.SVA는 SystemVerilog 언어와는 완전히 독립적이다. 다시 말해서, SVA의 문법은 SystemVerilog 문법과 완전히 다르다. 하지만, S.. 2024. 11. 13.
[SystemVerilog] generate-for loop와 for loop의 차이 [SystemVerilog] generate-for loop와 for loop의 차이 1. generate-for loop설명 컴파일 타임에 실행: generate 블록 안의 for문은 컴파일 시간에 반복적으로 실행되며, 그 결과로 고정된 하드웨어 구조를 생성한다.하드웨어 인스턴스 생성: generate 블록 내에서 반복된 코드는 물리적 하드웨어 리소스로 변환됩니다. 즉, 각 반복마다 새로운 하드웨어가 생긴다. 이 반복은 정적이기 때문에, 반복 횟수가 미리 정해져 있어야 한다.genvar 사용: generate 블록에서는 genvar를 사용하여 반복 변수를 정의하는데, 이 변수는 컴파일 타임 변수로, 하드웨어 구조를 생성할 때만 사용된다. 이 변수는 시뮬레이션 중에는 값을 가지고 있지 않고 사라진다.. 2024. 10. 18.
[VLSI] ASIC Flow [VLSI] ASIC Flow    1. Specification  고객 또는 시스템 요구 사항에 따라 설계할 Digital 회로의 기능, 성능, 전력 소비, 크기 등의 사양을 정의한다. 이 단계에서는 전체 시스템의 기능적 블록 다이어그램과 인터페이스를 구체화한다.주요 항목기능 요구 사항 (Functional Requirements) : 고객이 요구하는 기능 구현. ex) 각종 Algorithm, Logic성능 요구 사항 (Performance Requirements) : 고객이 요구하는 성능, Chip의 성능 등. ex) Clock Frequency, Latency전력 요구 사항 (Power Requirements)면적 요구 사항 (Area Requirements)프로세스 기술 (Process Tech.. 2024. 10. 16.
Verilog Simulator 종류 - Commercial Verilog Simulator 종류 - Commercial ① Mentor Graphics – ModelSim, QuestaSim RTL Code 시뮬레이션용. ModelSim은 기본 시뮬레이터. QuestaSim은 UVM, power aware simulations, AMS 등 고급 기능 사용 가능. ModelSim은 학부 과정에서 수업 시에 많이 접해볼 수 있는 시뮬레이터이다. ② Intel/Altera – Quartus Intel/Altera 전용 FPGA 디자인 플랫폼 Intel/Altera FPGA를 구매했을 때, 시뮬레이션과 합성을 위해 사용. ③ AMD/Xilinx – Vivado AMD/Xilinx 전용 FPA 디자인 플랫폼 AMD/Xilinx FPGA를 구매했을 때, 시뮬레이션과 합성을.. 2024. 3. 22.
Verilog Simulator 종류 - Open Source Verilog Simulator 종류 - Open Source ① Icarus Verilog(iverilog) – Stephen Williams 사용하기 쉬움Verilog 지원, SystemVerilog 지원하지 않음Verilog만 컴파일 가능compile : iverilog simulation : vvp waveform : waveform을 보려면 GTKwave를 사용. 일반적으로 많이 사용하는 무료 Verilog Simulator이다. Stephen Williams이란 사람이 개발하였다고 한다. Verilog만 지원 가능하고 다른 추가적인 기능들이 많이 부족하지만 입문자들이 간단하게 Simulation하기에 적합한 Simulator인 것 같다. 위키피디아에는 SystemVerilog도 어느 정도 지원.. 2024. 3. 21.
728x90
반응형