728x90 반응형 VLSI/Common3 [VLSI] ASIC Flow [VLSI] ASIC Flow 1. Specification 고객 또는 시스템 요구 사항에 따라 설계할 Digital 회로의 기능, 성능, 전력 소비, 크기 등의 사양을 정의한다. 이 단계에서는 전체 시스템의 기능적 블록 다이어그램과 인터페이스를 구체화한다.주요 항목기능 요구 사항 (Functional Requirements) : 고객이 요구하는 기능 구현. ex) 각종 Algorithm, Logic성능 요구 사항 (Performance Requirements) : 고객이 요구하는 성능, Chip의 성능 등. ex) Clock Frequency, Latency전력 요구 사항 (Power Requirements)면적 요구 사항 (Area Requirements)프로세스 기술 (Process Tech.. 2024. 10. 16. Verilog Simulator 종류 - Commercial Verilog Simulator 종류 - Commercial ① Mentor Graphics – ModelSim, QuestaSim RTL Code 시뮬레이션용. ModelSim은 기본 시뮬레이터. QuestaSim은 UVM, power aware simulations, AMS 등 고급 기능 사용 가능. ModelSim은 학부 과정에서 수업 시에 많이 접해볼 수 있는 시뮬레이터이다. ② Intel/Altera – Quartus Intel/Altera 전용 FPGA 디자인 플랫폼 Intel/Altera FPGA를 구매했을 때, 시뮬레이션과 합성을 위해 사용. ③ AMD/Xilinx – Vivado AMD/Xilinx 전용 FPA 디자인 플랫폼 AMD/Xilinx FPGA를 구매했을 때, 시뮬레이션과 합성을.. 2024. 3. 22. Verilog Simulator 종류 - Open Source Verilog Simulator 종류 - Open Source ① Icarus Verilog(iverilog) – Stephen Williams 사용하기 쉬움Verilog 지원, SystemVerilog 지원하지 않음Verilog만 컴파일 가능compile : iverilog simulation : vvp waveform : waveform을 보려면 GTKwave를 사용. 일반적으로 많이 사용하는 무료 Verilog Simulator이다. Stephen Williams이란 사람이 개발하였다고 한다. Verilog만 지원 가능하고 다른 추가적인 기능들이 많이 부족하지만 입문자들이 간단하게 Simulation하기에 적합한 Simulator인 것 같다. 위키피디아에는 SystemVerilog도 어느 정도 지원.. 2024. 3. 21. 이전 1 다음 728x90 반응형